frequencyZDC

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:5
上传日期:2010-01-02 23:24:27
上 传 者040520404
说明:  有效位为四位十进制数的数字频率计,实验板上有一个标准时钟发生电路,为计数闸门控制电路提供一个标准8Hz信号,计数闸门控制电路控制4位十进制计数器从第三秒开始计数一秒钟,计数的个数就是待测输入信号的频率。第四秒停止计数,其中前7/8秒保持计数值,后1/8秒计数器复位。然后再计数一秒,保持计数值一秒,如此循环。
(Digital frequency meter)

文件列表:
数字频率计ZDC.txt (4200, 2010-01-02)

近期下载者

相关文件


收藏者