uart(VHDL)

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:18KB
下载次数:3
上传日期:2010-01-06 21:25:20
上 传 者onlyou_onlyou
说明:  UART的VHDL实现,非常经典,希望对大家有用
(UART of the VHDL implementation)

文件列表:
uart 源码 (VHDL)\address_decode_rtl.vhd (1583, 2001-09-11)
uart 源码 (VHDL)\control_operation_fsm.vhd (3678, 2001-09-11)
uart 源码 (VHDL)\cpu_interface_rtl.vhd (2084, 2001-09-11)
uart 源码 (VHDL)\serial_interface_rtl.vhd (5860, 2001-09-11)
uart 源码 (VHDL)\SPI.doc (75776, 2004-10-09)
uart 源码 (VHDL)\status_registers_rtl.vhd (2232, 2001-09-11)
uart 源码 (VHDL)\uart_top_rtl.vhd (6252, 2001-09-11)
uart 源码 (VHDL)\xmit_rcv_control_fsm.vhd (9905, 2001-09-11)
uart 源码 (VHDL) (0, 2010-01-06)

近期下载者

相关文件


收藏者