7segmentLED

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:8
上传日期:2010-01-08 20:15:03
上 传 者xiaokun1206
说明:  7段数码管显示源代码。基于VHDL语言,实现对7段数码管显示。
(7 segment LED display source code. Based on the VHDL language, achieving seven segment LED display.)

文件列表:
7段数码管显示-VHDL.doc (26624, 2010-01-08)

近期下载者

相关文件


收藏者