keyscan

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:6
上传日期:2010-01-15 20:44:46
上 传 者lemon880915
说明:  2×8 键盘扫描编程------VHDL语言
(2×8 keyboard scan---VHDL language)

文件列表:
keyscan.vhd (1710, 2009-11-27)

近期下载者

相关文件


收藏者