LED点阵

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:4KB
下载次数:309
上传日期:2005-08-04 18:23:46
上 传 者wangwbwh
说明:  大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。
(big screen led to the dot matrix display driver timing. The use of VHDL description language. Rom which documents can be automatically generated using lpm_megcore.)

文件列表:
source2.vhd (470, 2004-08-15)
pin.vhd (684, 2004-08-15)
rom1.vhd (3974, 2004-08-14)
rom1_inst.vhd (104, 2004-08-14)
source.vhd (410, 2004-08-11)
source1.vhd (868, 2004-08-15)
ledall.vhd (1166, 2004-08-15)

近期下载者

相关文件


收藏者