多功能电子钟

所属分类:VHDL/FPGA/Verilog
开发工具:Windows_Unix
文件大小:6KB
下载次数:124
上传日期:2005-08-09 09:48:12
上 传 者没鼻子的鸡蛋
说明:  具有多种功能的电子钟:闹钟,报时和修改,定时闹钟,报时时间,带闹钟,报时开关。
(with multiple functions of electronic bell : alarm clock, timer and modification, regular alarm clock, timer, with alarm clock, timer switches.)

文件列表:
counter.vhd (1715, 2005-07-31)
condition.vhd (7900, 2005-08-01)
statemachine.vhd (1262, 2005-08-01)
sound.vhd (2076, 2005-08-01)
controll.vhd (3296, 2005-08-01)
alarmreg.vhd (555, 2005-08-01)
display.vhd (2234, 2005-08-01)
led.vhd (1730, 2005-08-01)

近期下载者

相关文件


收藏者