ASK-VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:41KB
下载次数:6
上传日期:2010-02-24 15:15:38
上 传 者qingyin
说明:  基于VHDL硬件描述语言,对基带信号进行ASK振幅调制
(VHDL hardware description language based on the ASK baseband amplitude modulation signal)

文件列表:
ASK调制与解调VHDL程序及仿真.doc (68608, 2010-02-24)

近期下载者

相关文件


收藏者