1

所属分类:其他
开发工具:VHDL
文件大小:1029KB
下载次数:6
上传日期:2010-03-17 09:35:29
上 传 者zhutt871021
说明:  四人抢答器,具有一次只识别一个输入信号(即抢答信号),相应之后,再有其他信号输入均不响应。
(Four Responder, with time only recognize an input signal (that is, to answer in the signal), corresponding to it, one can not respond to other inputs.)

文件列表:
朱婷婷.doc (1251328, 2010-03-17)

近期下载者

相关文件


收藏者