Work_with_Modelsim_SE_and_Quartus_II

所属分类:软件设计/软件工程
开发工具:Others
文件大小:193KB
下载次数:64
上传日期:2010-03-17 19:10:21
上 传 者Himalayas
说明:  仔细讲解了如何在Modelsim中建立Altera的仿真库(Verilog HDL),如何使用Modelsim建立工程以及代码调试中的注意事项。
(Carefully explained how to create Altera simulation Modelsim library, how to use Modelsim to establish engineering and debugging the code in the note.)

文件列表:
基于Quartus II和ModelSim SE的后仿真(Verilog版).doc (239104, 2010-02-06)

近期下载者

相关文件


收藏者