占空比1:1的通用分频模块

所属分类:VHDL/FPGA/Verilog
开发工具:MultiPlatform
文件大小:1KB
下载次数:18
上传日期:2005-02-03 15:19:01
上 传 者毛毛虫1
说明:  占空比1:1的通用分频模块
(1:1 generic-frequency module)

文件列表:
ClockDiv.vhd (1330, 2004-12-07)

近期下载者

相关文件


收藏者