QuadE-ResponderBasedOnVHDL

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:90KB
下载次数:11
上传日期:2010-04-02 09:37:53
上 传 者hmy1026
说明:  基于VHDL语言开发的四路电子抢答器,开发环境为MAX-Plus2
(VHDL language development based on four electronic answering device)

文件列表:
QiangDa\LIB.DLS (100, 2010-01-12)
QiangDa\qd(1).cnf (10198, 2010-01-10)
QiangDa\qd(10).cnf (2469, 2010-01-08)
QiangDa\qd(2).cnf (5546, 2010-01-10)
QiangDa\qd(3).cnf (2469, 2010-01-10)
QiangDa\qd(4).cnf (1851, 2010-01-10)
QiangDa\qd(5).cnf (8200, 2010-01-08)
QiangDa\qd(6).cnf (3782, 2010-01-08)
QiangDa\qd(7).cnf (2057, 2010-01-08)
QiangDa\qd(8).cnf (10198, 2010-01-08)
QiangDa\qd(9).cnf (5546, 2010-01-08)
QiangDa\qd.acf (15822, 2010-01-12)
QiangDa\qd.cnf (157530, 2010-01-12)
QiangDa\qd.fit (17081, 2010-01-12)
QiangDa\qd.hex (128470, 2010-01-12)
QiangDa\qd.hif (3605, 2010-01-12)
QiangDa\qd.mmf (754, 2010-01-12)
QiangDa\qd.ndb (20181, 2010-01-12)
QiangDa\qd.pin (7551, 2010-01-12)
QiangDa\qd.pof (130945, 2010-01-12)
QiangDa\qd.rpt (49803, 2010-01-12)
QiangDa\qd.scf (2918, 2010-01-12)
QiangDa\qd.snf (66858, 2010-01-12)
QiangDa\qd.sof (57946, 2010-01-12)
QiangDa\QD.sym (571, 2010-01-08)
QiangDa\qd.ttf (238399, 2010-01-12)
QiangDa\qd.vhd (3400, 2010-01-12)
QiangDa\U0547487.DLS (2589, 2010-01-12)
QiangDa\U1470215.DLS (9681, 2010-01-12)
QiangDa\U6103846.DLS (67472, 2010-01-12)
QiangDa (0, 2010-01-12)

近期下载者

相关文件


收藏者