VHDL_LCD1602

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:5KB
下载次数:5
上传日期:2010-04-03 19:04:40
上 传 者liudazha
说明:  用FPGA来实现液晶LCD1602的读写显示操作的程序代码。
(Using FPGA to implement reading and writing LCD1602 LCD display operation code.)

文件列表:
VHDL(FPGA控制LCD1602).doc (30720, 2009-12-21)

近期下载者

相关文件


收藏者