shuzizhong_vhdl

所属分类:VHDL/FPGA/Verilog
开发工具:PDF
文件大小:3KB
下载次数:2
上传日期:2010-04-04 12:26:36
上 传 者JKLI
说明:  用vhdl语言写的数字钟程序,有兴趣的可以看看
(Vhdl language used to write the digital clock program, interested to see)

文件列表:
adjuster.vhd (2396, 2006-08-01)
counter24.vhd (1502, 2006-08-01)
counter60.vhd (1548, 2006-08-01)
display.vhd (1111, 2009-09-18)
top.vhd (4188, 2006-08-01)

近期下载者

相关文件


收藏者