引爆器

所属分类:VHDL/FPGA/Verilog
开发工具:Windows_Unix
文件大小:24KB
下载次数:33
上传日期:2005-04-16 12:48:15
上 传 者大糊涂虫
说明:  数字密码引爆器的输入描述:1、 在开始输入密码以前的等待状态,首先要按READY键,表示目前准备就绪,可以输入数字密码;2、 当引爆事件发生后,应该回到等待状态,设置WAIT_T键;3、 如果输入密码不正确,此时要操作READY和WAIT_T是不起作用的,必须由设计人员重新设置到等待状态,设置SETUP键,SETUP为内部按键,操作人员应该不能接触;4、 确定密码输入后,要设计一个点火按键FIRE;
(digit passwords detonated's input Description : one at the start and enter the password before the wait state, according to First READY button, now ready to be imported into digital code; Two, when detonated after the incident, should wait for the state to set up WAIT_T bond; three, if a password is not correct, this time to operate READY WAIT_T and is non-functional, the design must be re-installed to wait for the state, set up SETUP button SETUP internal keys, the operator should not contact; 4 to determine the password, to design a FIRE- ignition keys;)

文件列表:
引爆器\SEG7.sym (257, 2003-12-06)
引爆器\seg7.vhd (995, 2003-12-08)
引爆器\CONTROL.sym (365, 2003-12-06)
引爆器\control.vhd (2579, 2003-12-09)
引爆器\fire_d.cnf (14496, 2003-12-09)
引爆器\fire_d.scf (1602, 2003-12-09)
引爆器\fire_d(1).cnf (4230, 2003-12-09)
引爆器\fire_d(2).cnf (6927, 2003-12-09)
引爆器\fire_d(3).cnf (7382, 2003-12-09)
引爆器\fire_d(4).cnf (60114, 2003-12-09)
引爆器\fire_d(5).cnf (70347, 2003-12-09)
引爆器\fire_d(6).cnf (70347, 2003-12-09)
引爆器\fire_d.sof (28216, 2003-12-09)
引爆器\FIRE_D.sym (489, 2003-12-06)
引爆器\fire_d.vhd (2048, 2003-12-09)
引爆器\KBIANMA.sym (255, 2003-12-06)
引爆器\kbianma.vhd (450, 2003-12-09)
引爆器\KCOMPARE.sym (376, 2003-12-06)
引爆器\kcompare.vhd (513, 2003-12-09)
引爆器\KDF.sym (216, 2003-12-06)
引爆器\kdf.vhd (360, 2003-12-09)
引爆器\SCAN_2.sym (311, 2003-12-09)
引爆器\scan_2.vhd (686, 2003-11-04)
引爆器 (0, 2003-12-19)

近期下载者

相关文件


收藏者