1602LCD

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:6KB
下载次数:63
上传日期:2010-04-16 20:54:50
上 传 者111wangwy
说明:  一个关于显示器实现的VHDL源代码,已测试过,可以运行
(A character display on the VHDL source code has been tested, you can run)

文件列表:
1602LCD字符显示实验.doc (32768, 2008-12-26)

近期下载者

相关文件


收藏者