sy1_yt

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:80KB
下载次数:3
上传日期:2010-04-23 11:29:32
上 传 者xtfcy
说明:  在max-plus 环境下使用vhdl语言实现用半加器组成全加器的功能。
(In the max-plus environment, using vhdl language component with half adder full adder function.)

文件列表:
sy1_yt\fitfstio.txt (9017, 2009-03-18)
sy1_yt\f_adder(1).cnf (7771, 2009-03-18)
sy1_yt\f_adder(2).cnf (1605, 2009-03-18)
sy1_yt\f_adder.acf (15740, 2009-03-18)
sy1_yt\f_adder.cnf (3942, 2009-03-18)
sy1_yt\f_adder.fit (2504, 2009-03-18)
sy1_yt\f_adder.hex (44370, 2009-03-18)
sy1_yt\f_adder.hif (1940, 2009-03-18)
sy1_yt\f_adder.mmf (642, 2009-03-18)
sy1_yt\f_adder.ndb (1966, 2009-03-18)
sy1_yt\f_adder.pin (5796, 2009-03-18)
sy1_yt\f_adder.pof (130949, 2009-03-18)
sy1_yt\f_adder.rpt (19098, 2009-03-18)
sy1_yt\f_adder.scf (598, 2009-03-18)
sy1_yt\f_adder.snf (2672, 2009-03-18)
sy1_yt\f_adder.sof (19559, 2009-03-18)
sy1_yt\F_ADDER.sym (261, 2009-03-18)
sy1_yt\f_adder.ttf (80279, 2009-03-18)
sy1_yt\f_adder.vhd (588, 2009-03-18)
sy1_yt\h_adder.acf (15786, 2010-04-21)
sy1_yt\h_adder.cnf (7771, 2009-03-18)
sy1_yt\h_adder.fit (2362, 2010-04-21)
sy1_yt\h_adder.hex (44370, 2010-04-21)
sy1_yt\h_adder.hif (1620, 2010-04-22)
sy1_yt\h_adder.mmf (642, 2010-04-21)
sy1_yt\h_adder.ndb (1205, 2010-04-21)
sy1_yt\h_adder.pin (5796, 2010-04-21)
sy1_yt\h_adder.pof (130949, 2010-04-21)
sy1_yt\h_adder.rpt (18719, 2010-04-21)
sy1_yt\h_adder.scf (486, 2009-03-18)
sy1_yt\h_adder.snf (2196, 2010-04-21)
sy1_yt\h_adder.sof (19559, 2010-04-21)
sy1_yt\H_ADDER.sym (222, 2009-03-18)
sy1_yt\h_adder.ttf (80279, 2010-04-21)
sy1_yt\h_adder.vhd (499, 2009-03-18)
sy1_yt\jk1.acf (15739, 2009-03-18)
sy1_yt\jk1.cnf (4465, 2009-03-18)
sy1_yt\jk1.hif (1604, 2009-03-18)
sy1_yt\jk1.mmf (244, 2009-03-18)
sy1_yt\jk1.ndb (856, 2009-03-18)
... ...

近期下载者

相关文件


收藏者