keyscaner

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:48
上传日期:2010-04-29 22:49:50
上 传 者kata
说明:  自己设计的矩阵键盘扫描程序,实现5X4键盘扫描,带有去抖和中断功能。
(Their own design matrix keyboard scanner, to achieve 5X4 keypad scanning, to shaking and interrupt functions with.)

文件列表:
小程序\HCT138A.vhd (561, 2010-03-11)
小程序\KeyCount.vhd (716, 2010-03-11)
小程序\KeyEncoder.vhd (744, 2010-03-11)
小程序\KeyPad.vhd (1801, 2010-03-11)
小程序 (0, 2010-03-11)

近期下载者

相关文件


收藏者