uart

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:17KB
下载次数:16
上传日期:2010-05-03 20:13:30
上 传 者furu
说明:  UART串口的VHDL源程序,希望对大家有用
(UART serial port of the VHDL source code, we want to be useful)

文件列表:
bbfifo_16x8.v (5791, 2005-08-28)
LSuart_rx.v (13498, 2005-08-29)
LSuart_tx.v (8465, 2005-08-28)
SERIAL_PORT.vhd (40891, 2005-09-12)
uart_rx.v (4041, 2005-08-29)
uart_tx.v (3752, 2005-08-28)
bbs.eetzone.com.txt (525, 2007-08-11)

近期下载者

相关文件


收藏者