CPLD

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:32KB
下载次数:40
上传日期:2010-05-05 16:43:26
上 传 者ninglige
说明:  ad采集的小模块,实现串口转并口的功能,串口是SPI的接口
(ad collector modoudle ad ad ad ad ad da da da da shuzi moni moni shuzi caiji caiji caiji caiji caiji caiji caiji)

文件列表:
adread.jbc (42390, 2007-06-05)
adread.mmf (330, 2007-06-05)
adread.ndb (9076, 2007-06-05)
adread.pin (3522, 2007-06-05)
adread.pof (3961, 2007-06-05)
adread.rpt (42045, 2007-06-05)
adread.snf (60064, 2007-06-05)
adread.tdf (6536, 2007-07-30)

近期下载者

相关文件


收藏者