qiangdaqi-EDA

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:38KB
下载次数:20
上传日期:2010-05-06 09:24:36
上 传 者xiaoxiaovhdl
说明:  智能抢答器的EDA实现: 1. 四人参赛每人一个按钮,主持人一个,按下就开始; 2. 每人一个发光二极管,抢中者灯亮; 3. 有人抢答时,喇叭响两秒; 4. 抢答时限10秒,从有人抢答开始记时,10秒内不回答问题时喇叭发出两秒声响
(Smart Responder of EDA to achieve: 1. Four entries per person of a button, a moderator, click on Start 2. Each a light-emitting diode, and looting in those lights 3. Some people answer in, the horn ring two seconds four. Responder time 10 seconds, starting from some answer in mind, 10 seconds when the speaker is not given two seconds to answer questions sound)

文件列表:
qiangdaqi-EDA.doc (76800, 2010-05-06)

近期下载者

相关文件


收藏者