adder

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:38KB
下载次数:57
上传日期:2010-05-12 10:54:04
上 传 者zimou
说明:  本设计是做了一个32位超前进位加法器,能够快速计算
(This design is made of a 32-bit lookahead adder, to quickly calculate)

文件列表:
adder\area_report.txt (614, 2010-03-29)
adder\carryhead_adder32.v (1170, 2010-03-29)
adder\carryhead_adder32.v~ (1149, 2010-03-29)
adder\carryhead_adder4.v~ (500, 2010-03-29)
adder\carryhead_adder4_nopg.v (457, 2010-03-29)
adder\carryhead_adder4_nopg.v~ (460, 2010-03-29)
adder\carryhead_adder4_pg.v (500, 2010-03-29)
adder\command.log (187769, 2010-03-29)
adder\default.svf (1104, 2010-03-29)
adder\fulladder_nopg.v (149, 2010-03-29)
adder\fulladder_pg.v (184, 2010-03-29)
adder\produceoverflow.v (734, 2010-03-29)
adder\produceoverflow.v~ (734, 2010-03-29)
adder\produce_carry_nopg.v (278, 2010-03-29)
adder\produce_carry_pg.v (472, 2010-03-29)
adder\produce_carry_pg.v~ (469, 2010-03-29)
adder\tb_adder32_gate.v (1070, 2010-03-29)
adder\tb_adder32_gate.v~ (1069, 2010-03-29)
adder\timing_report.txt (5007, 2010-03-29)
adder\top_adder32.v (252, 2010-03-29)
adder (0, 2010-05-12)

近期下载者

相关文件


收藏者