8fen

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:170KB
下载次数:12
上传日期:2010-05-14 10:49:16
上 传 者nanzhao012
说明:  8分频器的VHDL源码,绝对正确,并且可根据本代码推导出各个2的幂数的分频器的编写原理。
(FDCT Frequency Divider by VHDL . )

文件列表:
8fen\fenpin.qpf (940, 2010-05-02)
8fen\fenpin.qsf (3812, 2010-05-02)
8fen\db\fenpin.db_info (136, 2010-05-02)
8fen\db\fenpin.cbx.xml (187, 2010-05-02)
8fen\db\fenpin_cmp.qrpt (0, 2010-05-02)
8fen\db\fenpin.hif (441, 2010-05-02)
8fen\db\fenpin.(0).cnf.cdb (963, 2010-05-02)
8fen\db\fenpin.(0).cnf.hdb (398, 2010-05-02)
8fen\db\fenpin.hier_info (231, 2010-05-02)
8fen\db\fenpin.rtlv_sg.cdb (903, 2010-05-02)
8fen\db\fenpin.rtlv.hdb (5576, 2010-05-02)
8fen\db\fenpin.rtlv_sg_swap.cdb (158, 2010-05-02)
8fen\db\fenpin.pre_map.hdb (5580, 2010-05-02)
8fen\db\fenpin.pre_map.cdb (947, 2010-05-02)
8fen\db\fenpin.psp (0, 2010-05-02)
8fen\db\fenpin.map.logdb (4, 2010-05-02)
8fen\db\fenpin.sgdiff.cdb (931, 2010-05-02)
8fen\db\fenpin.sgdiff.hdb (5578, 2010-05-02)
8fen\db\fenpin.syn_hier_info (0, 2010-05-02)
8fen\db\fenpin.map.cdb (1134, 2010-05-02)
8fen\db\fenpin.map.hdb (5488, 2010-05-02)
8fen\db\fenpin.fit.qmsg (14563, 2010-05-02)
8fen\db\fenpin.cmp.logdb (4, 2010-05-02)
8fen\db\fenpin.asm.qmsg (1114, 2010-05-02)
8fen\db\fenpin.tan.qmsg (29304, 2010-05-02)
8fen\db\fenpin.cmp.tdb (1578, 2010-05-02)
8fen\db\fenpin.cmp0.ddb (37375, 2010-05-02)
8fen\db\fenpin.cmp.cdb (2587, 2010-05-02)
8fen\db\fenpin.signalprobe.cdb (886, 2010-05-02)
8fen\db\fenpin.eda.qmsg (1928, 2010-05-02)
8fen\db\fenpin.cmp.hdb (5569, 2010-05-02)
8fen\db\fenpin.cmp.rdb (18360, 2010-05-02)
8fen\db\add_sub_1sh.tdf (2574, 2010-05-02)
8fen\db\fenpin.fnsim.cdb (1519, 2010-05-02)
8fen\db\fenpin.fnsim.hdb (9327, 2010-05-02)
8fen\db\fenpin.sld_design_entry_dsc.sci (135, 2010-05-02)
8fen\db\fenpin.sim.qmsg (1343, 2010-05-02)
8fen\db\fenpin.sim.hdb (2708, 2010-05-02)
8fen\db\fenpin.eds_overflow (4, 2010-05-02)
8fen\db\fenpin.sim.vwf (2545, 2010-05-02)
... ...

近期下载者

相关文件


收藏者