m_vhdl

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:45
上传日期:2010-05-18 22:44:36
上 传 者haodiangei
说明:  设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。
(Design a pseudo-random sequence generator, using the generating polynomial 1+ X ^ 3+ X ^ 7. Requires a RESET terminal end and two control registers to adjust the initial value (the program of four non-zero initial value set a good option).)

文件列表:
m_vhdl.txt (1031, 2008-12-06)

近期下载者

相关文件


收藏者