bs

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:1
上传日期:2010-05-19 16:51:36
上 传 者allan206
说明:  布斯乘法器 这种特殊的乘法器供给需要使用的人使用
(Booth multiplier)

文件列表:
布斯乘法器.txt (4788, 2003-05-05)

近期下载者

相关文件


收藏者