yxj4

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:15KB
下载次数:1
上传日期:2010-05-19 16:58:43
上 传 者allan206
说明:  最高优先级编码器最高优先级编最高优先级编码器码器
(Highest priority encoder)

文件列表:
伪随机数产生器.vhd (8067, 2003-05-05)
相应加法器的测试向量(test bench).vhd (4394, 2003-05-05)
一个简单的UART.vhd (10477, 2003-05-08)
一个简单的状态机.vhd (773, 2003-05-05)
一个游戏程序.vhd (19523, 2003-05-05)
移位寄存器:74164.txt (657, 2003-05-05)
直流电机控制器.vhd (10174, 2003-05-05)
最高优先级编码器.txt (1235, 2003-05-05)

近期下载者

相关文件


收藏者