三种多路选择器的源代码

所属分类:VHDL/FPGA/Verilog
开发工具:Windows_Unix
文件大小:1KB
下载次数:319
上传日期:2005-10-28 22:46:28
上 传 者babylune
说明:  三种方法编写多路选择器的VHDL源代码 分别使用if else ,select ,when 语句
(three methods to prepare multiple choice of VHDL source code were used if else, select, when words)

文件列表:
三种多路选择器的源代码\多路选择器select.txt (784, 2005-10-21)
三种多路选择器的源代码\多路选择器when.txt (784, 2005-10-21)
三种多路选择器的源代码\多路选择器if else.txt (784, 2005-10-21)
三种多路选择器的源代码 (0, 2005-10-28)

近期下载者

相关文件


收藏者