jiaotongdeng

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:2
上传日期:2010-06-12 17:54:30
上 传 者hit050230225
说明:  实现十字路口的交通灯控制,分主干道和次干道显示。
(traffic lighter,display)

文件列表:
jiaotongdeng\kongzhixianshi.txt (0, 2010-06-12)
jiaotongdeng\fenpin.txt (1029, 2010-06-12)
jiaotongdeng\要求.txt (702, 2010-06-12)
jiaotongdeng (0, 2010-06-12)

近期下载者

相关文件


收藏者