yinyue

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:353KB
下载次数:8
上传日期:2010-07-18 12:20:35
上 传 者huzhongtiandi
说明:  音频播放器 音频播放器可播放三首不同的歌曲,可实现,上一首,下一首,随机播放等功能,需和硬件相连接
(Audio player audio player can play three different songs, can be realized, on the one, next, random play functions, and hardware required to connect)

文件列表:
音频播放器\07604-071829-武军.doc (488960, 2009-11-20)
音频播放器\MUSIC\MUSIC.asm.rpt (6537, 2009-11-21)
音频播放器\MUSIC\MUSIC.done (26, 2009-11-21)
音频播放器\MUSIC\MUSIC.dpf (239, 2009-11-21)
音频播放器\MUSIC\MUSIC.fit.rpt (64876, 2009-11-21)
音频播放器\MUSIC\MUSIC.fit.smsg (334, 2009-11-21)
音频播放器\MUSIC\MUSIC.fit.summary (370, 2009-11-21)
音频播放器\MUSIC\MUSIC.flow.rpt (4926, 2009-11-21)
音频播放器\MUSIC\MUSIC.map.rpt (21686, 2009-11-21)
音频播放器\MUSIC\MUSIC.map.summary (362, 2009-11-21)
音频播放器\MUSIC\MUSIC.pin (20470, 2009-11-21)
音频播放器\MUSIC\MUSIC.pof (27327, 2009-11-21)
音频播放器\MUSIC\MUSIC.qpf (909, 2009-11-21)
音频播放器\MUSIC\MUSIC.qsf (2713, 2009-11-21)
音频播放器\MUSIC\MUSIC.qws (1089, 2009-11-21)
音频播放器\MUSIC\MUSIC.tan.rpt (73482, 2009-11-21)
音频播放器\MUSIC\MUSIC.tan.summary (1606, 2009-11-21)
音频播放器\MUSIC\MUSIC.vhd (13044, 2009-11-21)
音频播放器\~$604-071829-武军.doc (162, 2010-07-18)
音频播放器\MUSIC (0, 2010-07-18)
音频播放器 (0, 2010-07-18)

近期下载者

相关文件


收藏者