std_logic_arith

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:6KB
下载次数:11
上传日期:2010-07-31 11:58:42
上 传 者heyan12121
说明:  一个用于转换设置,以及签署SMALL_INT,整数,STD_ULOGIC,STD_LOGIC和STD_LOGIC_VECTOR比较函数。
(A set of arithemtic, conversion, and comparison functions for SIGNED, UNSIGNED, SMALL_INT, INTEGER,STD_ULOGIC, STD_LOGIC, and STD_LOGIC_VECTOR.)

文件列表:
std_logic_arith.vhd (72888, 2010-07-31)

近期下载者

相关文件


收藏者