FPGA_and_modelsim

所属分类:VHDL/FPGA/Verilog
开发工具:PDF
文件大小:1008KB
下载次数:6
上传日期:2010-08-04 18:19:19
上 传 者hehe113
说明:  新手入门FPGA资料,了解FPGA的开发全过程,对开发的流程有清晰的认识。介绍modelsim 的使用,让你熟练使用modelsim加速设计。
(Getting Started FPGA to better understand the whole process of the development of FPGA on the development of a clear understanding of the process. Introduced the use modelsim, so you skillfully use modelsim to accelerate the design.)

文件列表:
FPGA_design_process.pdf (241547, 2009-01-04)
modelsim_tutorials.pdf (1257443, 2009-08-23)

近期下载者

相关文件


收藏者