universal_counter

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:2
上传日期:2010-08-06 08:36:26
上 传 者sirdany17
说明:  This is a universal counter with up/down signal,min_tick,max tick. it s written with generics so every one can use it.

文件列表:
universal_counter.vhd (1089, 2010-03-02)

近期下载者

相关文件


收藏者