lcd_control

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:13
上传日期:2010-08-06 08:38:29
上 传 者sirdany17
说明:  The LCD control for a virtex 5 LCD. It works perfectly.

文件列表:
lcd_control.vhd (3326, 2010-06-23)

近期下载者

相关文件


收藏者