vhdl

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:6KB
下载次数:11
上传日期:2010-08-27 20:27:11
上 传 者yuguangyang
说明:  用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。
(Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.)

文件列表:
qd.vhd (2901, 2009-12-26)
sanjiao.vhd (923, 2009-12-17)
sin.vhd (570, 2009-12-17)
zxu1.gdf (1821, 2009-12-17)
zxu1.hif (1514, 2010-01-03)
zxu1.mif (2972, 2009-12-17)
counterled.vhd (2128, 2009-12-12)
juchi.vhd (707, 2009-12-17)
led8.vhd (2548, 2009-12-16)

近期下载者

相关文件


收藏者