PRBS

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:11KB
下载次数:27
上传日期:2010-09-06 11:31:28
上 传 者xi_niu
说明:  PRBS - Generator and Receiver

文件列表:
PRBS_PCK.vhd (7964, 2004-10-02)
PRBS_RX_SER.vhd (24773, 2004-10-02)
PRBS_TX_SER.vhd (21479, 2004-10-02)

近期下载者

相关文件


收藏者