Clock
clock 

所属分类:组合框控件
开发工具:Visual C++
文件大小:63KB
下载次数:20
上传日期:2010-09-12 15:30:45
上 传 者sadftg
说明:  一个小时钟的vc实现代码,模拟时钟的指针转动的,
(A small clock in the implementation code, analog clock,)

文件列表:
Clock (0, 2010-07-29)
Clock\Clock.aps (29296, 2010-07-29)
Clock\Clock.cpp (4396, 2010-08-22)
Clock\Clock.cpp.orig (4191, 2009-04-28)
Clock\Clock.dsp (4659, 2009-05-02)
Clock\Clock.dsw (518, 2009-04-28)
Clock\Clock.h (1345, 2009-04-28)
Clock\Clock.ncb (99328, 2010-08-22)
Clock\Clock.opt (48640, 2010-08-22)
Clock\Clock.plg (2413, 2010-08-22)
Clock\Clock.rc (12413, 2009-05-04)
Clock\Clock1.cpp (2, 2009-05-02)
Clock\ClockDlg.cpp (1232, 2010-08-22)
Clock\ClockDlg.cpp.orig (1179, 2009-05-04)
Clock\ClockDlg.h (1237, 2009-05-04)
Clock\ClockDoc.cpp (1975, 2010-08-22)
Clock\ClockDoc.cpp.orig (1892, 2009-05-04)
Clock\ClockDoc.h (1607, 2009-05-04)
Clock\ClockView.cpp (6517, 2010-08-22)
Clock\ClockView.cpp.orig (6505, 2010-08-22)
Clock\ClockView.h (1867, 2009-05-04)
Clock\Debug (0, 2010-07-29)
Clock\Debug\Clock.exe (122936, 2010-08-22)
Clock\MainFrm.cpp (2712, 2010-08-22)
Clock\MainFrm.cpp.orig (2506, 2009-04-28)
Clock\MainFrm.h (1581, 2009-04-28)
Clock\res (0, 2010-07-29)
Clock\resource.h (960, 2009-05-04)
Clock\res\Clock.ico (1078, 2009-04-28)
Clock\res\Clock.rc2 (397, 2009-04-28)
Clock\res\ClockDoc.ico (1078, 2009-04-28)
Clock\res\Toolbar.bmp (1078, 2009-04-28)
Clock\StdAfx.cpp (207, 2009-04-28)
Clock\StdAfx.h (1054, 2009-04-28)

近期下载者

相关文件


收藏者