数据选择器vhd源代码

所属分类:VHDL/FPGA/Verilog
开发工具:TEXT
文件大小:11KB
下载次数:236
上传日期:2005-12-11 15:43:28
上 传 者radiocom
说明:  数据选择器,半加器,3-8译码器vhd源代码。是最近学校的实验内容。我要成会员,所以都发上来供大家参考。
(data selection, half-adder ,3-8 decoder vhd source code. Recent experimental schools content. I want to become members and therefore has made onto for reference.)

文件列表:
z_test.vhd (1024, 2005-12-09)
报告\第二次实验报告.doc (32768, 2005-12-11)
报告 (0, 2005-12-11)

近期下载者

相关文件


收藏者