数字系统设计相关

所属分类:VHDL/FPGA/Verilog
开发工具:C/C++
文件大小:44KB
下载次数:78
上传日期:2005-12-14 17:47:33
上 传 者badsam03
说明:  这是有关VHDL的相关源代码,有简易CPU、加法器、除法器、计数器等
(This is the relevance of the VHDL source code, a simple CPU, Adder, Divider, counters, etc.)

文件列表:
数字系统设计相关\8位总线收发器:74245.txt (722, 2003-05-05)
数字系统设计相关\8位相等比较器.txt (411, 2003-05-05)
数字系统设计相关\fifo存储器举例:(注3).txt (2258, 2003-05-05)
数字系统设计相关\LED七段译码.txt (874, 2003-05-05)
数字系统设计相关\VHDL程序范例使用说明.doc (22528, 2004-06-18)
数字系统设计相关\一个游戏程序.vhd (19523, 2003-05-05)
数字系统设计相关\一个简单的UART.vhd (10477, 2003-05-08)
数字系统设计相关\一个简单的状态机.vhd (773, 2003-05-05)
数字系统设计相关\三人表决器(三种不同的描述方式).txt (1697, 2003-05-05)
数字系统设计相关\三态总线(注2).txt (428, 2003-05-05)
数字系统设计相关\伪随机数产生器.vhd (8067, 2003-05-05)
数字系统设计相关\伪随机比特发生器.txt (1592, 2003-05-05)
数字系统设计相关\使用列举类型的状态机.vhd (3050, 2003-05-05)
数字系统设计相关\使用变量的状态机.txt (1590, 2003-05-05)
数字系统设计相关\加法器描述.txt (3479, 2003-05-05)
数字系统设计相关\加法器源程序.v (1127, 2003-05-05)
数字系统设计相关\加法器源程序.vhd (2946, 2003-05-05)
数字系统设计相关\双2-4译码器:74139.txt (1040, 2003-05-05)
数字系统设计相关\双向总线(注2).txt (1220, 2003-05-05)
数字系统设计相关\各种功能的计数器.vhd (5821, 2003-05-05)
数字系统设计相关\四D触发器74175.txt (862, 2003-05-05)
数字系统设计相关\地址译码(for m68008).txt (1676, 2003-05-05)
数字系统设计相关\多路选择器(使用when-else语句).txt (783, 2003-05-05)
数字系统设计相关\布斯乘法器.txt (4788, 2003-05-05)
数字系统设计相关\带load、clr等功能的寄存器.vhd (1887, 2003-05-05)
数字系统设计相关\带三态输出的8位D寄存器:74374.txt (721, 2003-05-05)
数字系统设计相关\带同步复位的状态机.txt (1366, 2003-05-05)
数字系统设计相关\带莫尔_米勒输出的状态机.txt (2629, 2003-05-05)
数字系统设计相关\最高优先级编码器.txt (1235, 2003-05-05)
数字系统设计相关\步进电机控制器.vhd (2633, 2003-05-05)
数字系统设计相关\汉明纠错吗编码器.txt (893, 2003-05-05)
数字系统设计相关\汉明纠错吗译码器.txt (2509, 2003-05-05)
数字系统设计相关\波形发生器(含test beach).vhd (2519, 2004-04-07)
数字系统设计相关\直流电机控制器.vhd (10174, 2003-05-05)
数字系统设计相关\相应加法器的测试向量(test bench).vhd (4394, 2003-05-05)
数字系统设计相关\移位寄存器:74164.txt (657, 2003-05-05)
数字系统设计相关\简单的12位寄存器.vhd (378, 2003-05-05)
数字系统设计相关\简单的锁存器.vhd (392, 2003-05-05)
数字系统设计相关\米勒型状态机.txt (2996, 2003-05-05)
... ...

近期下载者

相关文件


收藏者