test8

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:11
上传日期:2010-11-04 09:52:29
上 传 者nipengyu
说明:  设计一个8路数据选择器,每路输入数据与输出数据位四位二进制的
(Design of an 8-channel data selectors, each data bit input data and output four binary)

文件列表:
test_8.v (2717, 2010-11-04)
mux8_to_1.v (958, 2010-11-04)

近期下载者

相关文件


收藏者