I2C_IPcore_VHDL

所属分类:VHDL/FPGA/Verilog
开发工具:MultiPlatform
文件大小:6KB
下载次数:122
上传日期:2005-12-23 10:44:07
上 传 者dola_chen82
说明:  这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行
(I2C serial data communication protocol to VHDL hardware description language of the IP core can be directly translated Operation)

文件列表:
IIC_IPcore_VHDL\tshft_shft.vhd (935, 2004-11-19)
IIC_IPcore_VHDL\I2c_top.vhd (1389, 2004-11-23)
IIC_IPcore_VHDL\i2c_tshift.vhd (1093, 2004-11-28)
IIC_IPcore_VHDL\top_start.vhd (2357, 2004-11-28)
IIC_IPcore_VHDL\I2c_ack1.vhd (2046, 2004-11-28)
IIC_IPcore_VHDL\i2c_shift.vhd (1223, 2004-11-28)
IIC_IPcore_VHDL\I2c_stop.vhd (1235, 2004-11-29)
IIC_IPcore_VHDL\i2c_start.vhd (1273, 2004-11-29)
IIC_IPcore_VHDL\I2CSYN.VHD (1215, 2004-12-18)
IIC_IPcore_VHDL\I2c_cmd.vhd (5749, 2004-12-18)
IIC_IPcore_VHDL (0, 2005-12-22)

近期下载者

相关文件


收藏者