buzzer
vhdl 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:7
上传日期:2010-11-28 10:06:31
上 传 者riversky
说明:  向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,该实验通过设计一个状态机和分频 器使蜂鸣器发出"多来咪发梭拉西多"的音调。
(A certain frequency to the buzzer to send a square wave can make the appropriate tone buzzer, the experiment by designing a state machine and the divider to make the buzzer " made more than a microphone shuttle Rasi more" tone.)

文件列表:
buzzer.v (2729, 2005-12-14)

近期下载者

相关文件


收藏者