CAN协议控制器的Verilog实现

所属分类:VHDL/FPGA/Verilog
开发工具:MultiPlatform
文件大小:38KB
下载次数:411
上传日期:2005-12-27 21:32:34
上 传 者wangz-1
说明:  基于FPGA的CAN总线控制器,VERILOGHDL源代码,Q2仿真实现。可用。
(FPGA-based CAN Bus Controller, VERILOGHDL source code, Q2 Simulation. Available.)

文件列表:
can_acf.v (18715, 2004-05-31)
can_bsp.v (63364, 2004-05-12)
can_btl.v (13955, 2004-05-12)
can_crc.v (4630, 2004-02-08)
can_defines.v (5611, 2004-05-12)
can_fifo.v (19230, 2004-02-08)
can_ibo.v (4056, 2004-02-08)
can_register.v (4465, 2004-02-08)
can_register_asyn.v (4642, 2004-02-08)
can_register_asyn_syn.v (4729, 2004-02-08)
can_register_syn.v (4578, 2004-02-08)
can_registers.v (36498, 2004-05-12)

近期下载者

相关文件


收藏者