Binary.code.Gray.code.converter

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:25KB
下载次数:3
上传日期:2010-12-15 12:32:47
上 传 者duopk
说明:  二进制码格雷码转换器 进行二进制码格雷码转换,vhdl,QuartusⅡ
(Binary code Gray code converter)

文件列表:
Binary.code.Gray.code.converter.docx (28359, 2010-12-15)

近期下载者

相关文件


收藏者