LED.dot.matrix.display

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:79KB
下载次数:26
上传日期:2010-12-15 12:50:26
上 传 者duopk
说明:  LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。
(LED dot matrix display)

文件列表:
LED点阵显示器.docx (83966, 2010-12-15)

近期下载者

相关文件


收藏者