cordic_SINE_COSINE_code_vhd

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:40KB
下载次数:14
上传日期:2010-12-21 19:10:28
上 传 者wiish
说明:  首先采用cordic坐标旋转数字计算法推导求解三角函数的有效算法,然后利用小角度时的三角函数倍角公式推导有效的三角函数Cos,Sin 求解方法。
(First use of cordic CORDIC trigonometric calculations derived an effective algorithm to solve, and then use the small angle formula of trigonometric double angle trigonometric functions effectively Cos, Sin solving methods.)

文件列表:
SINE COSINE三角函数硬件实现代码vhd\cordic.doc (95744, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd\cordic_tst.vhd (2591, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd\p2r_cordic.vhd (1772, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd\p2r_CordicPipe.vhd (3424, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd\sc_corproc.vhd (1326, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd\三角函数公式.doc (26624, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd\面向VLSI实现三角函数求解算法.doc (29184, 2006-12-07)
SINE COSINE三角函数硬件实现代码vhd (0, 2010-12-21)

近期下载者

相关文件


收藏者