project-costanza

所属分类:VHDL/FPGA/Verilog
开发工具:Verilog
文件大小:1543KB
下载次数:0
上传日期:2014-08-12 22:14:55
上 传 者sh-1993
说明:  Project Costanza是一款以Verilog中的DE0 Nano FPGA为中心从头开始构建的视频游戏机
(Project Costanza is a video game console built from the ground up centered around the DE0-Nano FPGA in Verilog)

文件列表:
src (0, 2014-08-13)
src\cosmo (assembler) (0, 2014-08-13)
src\cosmo (assembler)\cosmo.rb (22711, 2014-08-13)
src\costanza (verilog) (0, 2014-08-13)
src\costanza (verilog)\block_ram.qip (197, 2014-08-13)
src\costanza (verilog)\block_ram.v (10857, 2014-08-13)
src\costanza (verilog)\clean.bat (153, 2014-08-13)
src\costanza (verilog)\config.jic (8388845, 2014-08-13)
src\costanza (verilog)\config.map (189, 2014-08-13)
src\costanza (verilog)\costanza.cdf (373, 2014-08-13)
src\costanza (verilog)\costanza.jdi (249, 2014-08-13)
src\costanza (verilog)\costanza.qpf (108, 2014-08-13)
src\costanza (verilog)\costanza.qsf (18097, 2014-08-13)
src\costanza (verilog)\costanza.qws (613, 2014-08-13)
src\costanza (verilog)\costanza.sdc (104, 2014-08-13)
src\costanza (verilog)\costanza.v (5613, 2014-08-13)
src\costanza (verilog)\costanza_assignment_defaults.qdf (56872, 2014-08-13)
src\costanza (verilog)\cpu_ram.qip (195, 2014-08-13)
src\costanza (verilog)\cpu_ram.v (7165, 2014-08-13)
src\costanza (verilog)\pll_main.ppf (500, 2014-08-13)
src\costanza (verilog)\pll_main.qip (278, 2014-08-13)
src\costanza (verilog)\pll_main.v (17499, 2014-08-13)
src\costanza (verilog)\source (0, 2014-08-13)
src\costanza (verilog)\source\audio_controller.v (3961, 2014-08-13)
src\costanza (verilog)\source\cpu.v (18657, 2014-08-13)
src\costanza (verilog)\source\instructions.vh (1559, 2014-08-13)
src\costanza (verilog)\source\joypad_controller.v (1944, 2014-08-13)
src\costanza (verilog)\source\memory_access.vh (161, 2014-08-13)
src\costanza (verilog)\source\memory_arbiter.v (7193, 2014-08-13)
src\costanza (verilog)\source\memory_map.v (6556, 2014-08-13)
src\costanza (verilog)\source\registers.vh (405, 2014-08-13)
src\costanza (verilog)\source\sd_controller.v (5987, 2014-08-13)
src\costanza (verilog)\source\sdram_controller.v (5874, 2014-08-13)
src\costanza (verilog)\source\vga_controller.v (2352, 2014-08-13)
src\documentation (0, 2014-08-13)
src\documentation\cpu.txt (6091, 2014-08-13)
src\test game (resources) (0, 2014-08-13)
... ...

# Project Costanza ![The beauty](https://github.com/SkylerLipthay/project-costanza/blob/master/www/screenshot.png?raw=true) * [Silly academic poster](https://github.com/SkylerLipthay/project-costanza/blob/master/www/poster.jpg) * [Demo video of some silly game](https://github.com/SkylerLipthay/project-costanza/blob/master/http://www.youtube.com/watch?v=Eu8VyIQWxYs) Project Costanza is a video game console built from the ground up centered around the **DE0-Nano**. It includes the following features: * Video output (VGA monitor) * Audio output (mono speaker) * Storage input (SD card) * Joypad input (Super Nintendo controller) * Custom CPU architecture with homemade assembler * Homemade memory controller for SDRAM communication The goal of Project Costanza was to fit all of these feature on a single chip in order to run fully capable homemade video games. It's buggy right now. I need to dig up the adapter board that interfaced all of the external hardware with the FPGA and document it. One day. ## Goals * Utilize an FPGA chip to represent complex electronic logic to communicate with video, audio, human input, and external storage * Design a fully independent software toolkit for developing applications * Test the integrity of the system through the creation and execution of small tech demo video games. ## The Future This thing was written towards the end of some deadlines. It needs a complete rewrite and some serious love. * More advanced toolkit with C language compiler * Support for multiple games on one SD card with the usage of the FAT32 file system * Bootloader menu for selecting a game from the SD card * Ability to write to SD card for saving game information

近期下载者

相关文件


收藏者