D触发器的设计

所属分类:VHDL/FPGA/Verilog
开发工具:Unix_Linux
文件大小:3KB
下载次数:51
上传日期:2006-01-14 12:01:01
上 传 者lpg315
说明:  D触发器的设计 主要用在时序电路中。 所用语言为Verilog HDL.
(D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.)

文件列表:
D触发器的设计.doc (27648, 2006-01-14)

近期下载者

相关文件


收藏者