adc

所属分类:VHDL/FPGA/Verilog
开发工具:Windows_Unix
文件大小:1KB
下载次数:1
上传日期:2015-02-02 00:29:24
上 传 者goodokey
说明:  VERILOG编程,利用状态机实现对TLC549的采样控制,实验时可调节电位器RW1(在开发板底板左下角),改变ADC 的模拟量输入值,数据采集读取后在数码管上显示。
(Implementation of sampling control of TLC549 using state machine, adjustable potentiometer RW1 experiment (in the development board bottom left corner), change the ADC The analog input values, data acquisition and read in the digital tube display.)

文件列表:
adc.v (4294, 2012-03-09)

近期下载者

相关文件


收藏者