HUAWEI-Verilog

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:265KB
下载次数:32
上传日期:2015-04-03 11:00:45
上 传 者618172
说明:  华为公司的Verilog HDL典型电路设计指导,仅供公司内部使用,内含全部源码,有很大的硬件设计指导意义。
(Huawei s Verilog HDL typical circuit design guidance for internal company use, containing all the source code, there are a lot of hardware design guide)

文件列表:
HUAWEI-Verilog.pdf (317813, 2006-08-20)

近期下载者

相关文件


收藏者