FSK

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:2
上传日期:2015-05-06 16:09:43
上 传 者beyond_yang
说明:  fsk的调制解调,可以下载到开发板上进行验证。
( The fsk mode can be downloaded to the development board for verification.)

文件列表:
FSK调制解调 (0, 2015-05-06)
FSK调制解调\PL_FSK.vhd (1754, 2009-02-09)
FSK调制解调\PL_FSK2.vhd (1250, 2009-02-10)

近期下载者

相关文件


收藏者