parell_to_serial

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:1
上传日期:2015-05-20 10:32:09
上 传 者zhrui
说明:  并串转换代码,8位并行输入,1位串行输出
(Serial conversion code, 8-bit parallel input, a serial output)

文件列表:
parell_to_serial.vhd (2117, 2015-04-30)

近期下载者

相关文件


收藏者